CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - bcd verilog

搜索资源列表

  1. bcd2bin

    0下载:
  2. 用Verilog实现二进制码转变为bcd码-binary change into bcd code using verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1109
    • 提供者:江小霜
  1. VerilogCode_BCD_counter

    0下载:
  2. Verilog Code for a BCD counter and it is implemented on Altera DE2 board-Verilog Code for a BCD counter and it is implemented on Altera DE2 board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1421
    • 提供者:Rahul
  1. ContadorBCD

    0下载:
  2. Verilog counter 0000 to 9999 with BCD visualization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:242291
    • 提供者:groao
  1. BCDadd8

    1下载:
  2. 8位的BCD加法器,BCD表示即4bit表示一个十进制数,取值范围是0000-0110,verilog代码实现-8-bit BCD adder, BCD said that 4bit represents a decimal number, range is 0000-0110, verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:254560
    • 提供者:陈振睿
  1. 4BCDcodeaddition

    0下载:
  2. 用verilog实现两个4位BCD码数字的十进制加法计算-4 bit BCD coded decimal addition calculations
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1787
    • 提供者:小卒
  1. counterms

    0下载:
  2. verilog语言写的可置数的倒计时计数器,共四位bcd码,分别为分钟两位和秒两位。波形完美无毛刺.开发环境没找到verilog只好写了vhdl-verilog based counter for minutes and seconds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:644
    • 提供者:yhl
  1. counter

    0下载:
  2. This is 2-BCD numbers Counter on board Altera DE2 Code Verilog HDL (You must import DE2_pin_assignments.csv to use this code)
  3. 所属分类:LabView

    • 发布日期:2014-04-20
    • 文件大小:460800
    • 提供者:nitro
  1. BCD_7Segmentos

    0下载:
  2. System Verilog code to send BCD values to 7 segments displays
  3. 所属分类:software engineering

    • 发布日期:2017-11-23
    • 文件大小:725
    • 提供者:souhaku
  1. Decodificador

    0下载:
  2. System Verilog decodificator. Enters a value(binary), drops hundreds, tens and units in BCD
  3. 所属分类:software engineering

    • 发布日期:2017-12-02
    • 文件大小:877
    • 提供者:souhaku
  1. Lab2_Part1

    0下载:
  2. display BCD code(0-9) using 7-segment displays in verilog code. Implements on educational kit Altera MAX7000s EPM7128SLC84-7.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:25218
    • 提供者:Henna Tan
  1. Lab2_Part2

    0下载:
  2. converts a 4-bit binary code to 2-digital BCD code in verilog code. Implements on educational kit Altera MAX7000s EPM7128SLC84-7.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:112777
    • 提供者:Henna Tan
  1. bin2bcd.v

    0下载:
  2. FPGA Verilog BIN 2 BCD Conversion code.
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2113
    • 提供者:Alex
  1. bit7_Binary_to_BCD_LED

    1下载:
  2. 二进制转十进制BCD码 Verilog语言 quartus-Binary to decimal BCD code Verilog language quartusII
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:295999
    • 提供者:伍明煜
  1. Binary_to_BCD_Converter

    0下载:
  2. This is a binary to BCD convert designed by using the “shift and add-3 algorithm”. The verilog code of basic cell add-3 is also included in this file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:9674
    • 提供者:WPI
  1. binary2bcd

    0下载:
  2. binary to bcd code converter design using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:529
    • 提供者:Vadivelan A
  1. verilog-code-FOR-COMPARATOR--TFF-AND-BCD-TO-7SSD.

    0下载:
  2. // File : 4 Bit Comparator design using behavior modeling style.v-// File : 4 Bit Comparator design using behavior modeling style.v
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-10
    • 文件大小:2048
    • 提供者:dhishna
  1. t1_bin2bcd

    0下载:
  2. 二进制转BCD的verilog程序,实现二进制数到BCD的转换,该程序具有节约FPGA的内部逻辑资源等特点- Binary to BCD s verilog procedures to achieve binary number to BCD conversion, the program has an inter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:458377
    • 提供者:宋国志
  1. bcdadd

    0下载:
  2. 4-Bit BCD Adder in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:353507
    • 提供者:KinKer
  1. bcdsubtract

    0下载:
  2. 4-Bit BCD subtract in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:561310
    • 提供者:KinKer
  1. Lab8_binbcd4

    0下载:
  2. 4位二进制-BCD码转换器的设计与实现.4位二进制-BCD码转换器的真值表,本实验中用Verilog语句来描述。-Design of 4 bit-BCD converter and implementation of.4 binary-BCD code converter truth table, use the Verilog statement in this experiment to describe.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:170482
    • 提供者:penglx1803
« 1 2 3 45 »
搜珍网 www.dssz.com